2009年全国高考天津试题(文综)

发布时间 : 星期五 文章2009年全国高考天津试题(文综)更新完毕开始阅读

..

第1章 QuartusII使用入门和FPGA/CPLD实验过程简介

QuartusII可编程逻辑开发软件是Altera公司为其FPGA/CPLD芯片设计推出的专用开发工具,是Altera公司最新一代功能更强的EDA开发软件,可完成从设计输入,综合适配,仿真到下载的整个设计过程。

QuartusII提供了一个完整的多平台开发环境,它包含FPGA和CPLD整个设计阶段的解决方案。QuartusII集成环境包括以下内容:系统级设计,嵌入式软件开发,可编程逻辑器件设计、综合、布局和布线,验证和仿真。

QuartusII也可以直接调用Synplify Pro、ModelSim等第三方 EDA 工具来完成设计任务的综合与仿真。QuartusII与MATLAB和DSP Builder结合可以进行基于FPGA的DSP系统开发,方便快捷。QuartusII还内嵌SOPC Builder,可实现 SOPC系统的开发。QuartusII 9.0

主界面如图 1.1示。

图 1.1 QuartusII 9.0界面

..

..

1.1 QuartusII基本设计流程

QuartusII设计的主要流程包括创建工程、设计输入、分析综合、编译、仿真验证、编程下载等,其一般流程如图 1.2所示。下面以硬件描述语言输入法设计计数器为例,说明QuartusII的设计流程。

功能仿真 部分编译:分析与综合 原理图 设计输入 硬件描述语言

设计构想 创建一个新工程 选择目标器件 1.2 QuartusII 设计示例

下面以建立一个一位十进制计数器为例来说明其使用方法。

否 功能正确? 是 物理 设计 引脚锁定 完整编辑 1.2.1 创建工程的准备工作

前已提及,开始一项新的电路设计,首先要创建一个文件夹,以便保存该工程的所有文件。通过QuartusII的文本编辑器编辑VHDL源文件并存盘。具体步骤如下:

(1)新建一个文件夹。假设本项设计的文件夹取名为decoder,路径为d:\\ decoder。

(2) 输入源程序。打开

否 时序仿真 满足时序要求? 是 器件编程 图1.2 QuartusII的设计流程

QuartusII,选择菜单File/New。在New窗口中的Device Design Files中选择编辑文件的语言类型,这里选择“VHDL File”,然后在VHDL文本编译窗中输入VHDL示例程序,该程序的实体名为decoder_3_8。

(3)文件存盘。选择File/Save As命令,找到已设立的文件夹d :\\conuter即可存盘,存盘文件名最好与实体名一致,即decoder_3_8.vhd。

3.2.2 创建工程

在菜单中选择File/New Project Wizard,出现新建工程向导,三个输入栏中分别输入的是保存的路径及工程文件夹,工程的名称和顶层实体的名称。建议工程名与顶层实体名称保持一致。输入完毕点击Next,将出现添加工程文件对话框,这时可将已经写好的Vhdl文

..

..

件加入到工程中。

在图1.3所示的对话框中,完成选择器件的工作。这里以TPC_1数字系统设计创新平台(参见附录B)为例,来说明具体操作方法。器件系列选择CPLD(MAX3000A),具体芯片型号为EPM3512AQC208-10,右面的三个下拉框用来限制芯片的封装形式、管脚数和速度等级。选择完成后,点击Next,出现选用第三方EDA工具窗口。本例不选用第三方EDA工具,直接单击Next。接下来出现的对话框给出了所生成工程的信息,单击Finish就完成了工程创建。这时工程导航窗口中的内容已经发生了改变。该窗口下面有三个页选项(图1.4),Hierarchy页中的内容是实体的层次结构,Files页中的内容是工程包含的文档,这两个都

是很常用的。

图1.3 目标器件选择对话框

3.2.3 添加/创建新文件

如果已经完成了VHDL源文件的编写工作,只需将它加进工程中,方法如图 1.4所示。 在File页中的Device Design File上点击鼠标右键,然后在Add/Remove Files in Project上点击鼠标左键,打开添加文件对话框,就可以添加文件了。也可以在QuartusII中创建VHDL源文件。

3.2.4 分析综合

在建立VHDL文件以后,就可以进行分析综合,点击工具栏中Start Analysis & Synthesis按钮

启动分析综合过程。如果出现错误,则需要根据信息窗口的错误提示进

行修改。文件存盘后重新启动分析综合过程。通过综合生成了逻辑电路网表文件,这时执行

..

..

Tool/Netlist Viewers/RTL Viewer可以查看电路综合结果。

接下来可以对电路进行功能仿真,检查所综合的电路在功能上是否能够达到预期要求。

图 1.4 添加设计文件

3.2.5 建立激励波形文件

在QuartusII集成开发环境中无法对硬件描述语言进行仿真,只能对电路在激励波形的作用下进行仿真。因此进行仿真之前,首先需建立激励波形文件。选择命令File/New,出现新建波形对话框,在该窗口中点击Other Files选项,选择Vector Waveform File,点击OK,出现波形编辑器窗口。选择命令Edit/Insert/Insert Node or Bus,出现Insert Node or Bus(插入节点或总线)窗口,单击Node Finder按钮,出现节点查找器窗口,如图1.5所示。

节点查找器对被查找的节点类型有过滤功能,要找到所有输入/输出节点,在Filter栏选择Pins: all,接着点击按钮List,所有输入/输出节点的名字便出现在节点查找器窗口的左边的方框(Nodes Found)中。单击节点CLK,接着点击符号“?”,使该节点加入到窗口右边的方框(Selected Nodes)中。以同样方法选择节点CQ,直至所需要观察的信号均加入到右边方框,再点击OK按钮,关闭节点查找器窗口,返回Insert Node or Bus窗口,再单击OK按钮,回到波形编辑器窗口。

波形编辑器窗口分为左右两个子窗口,左边为信号区,右边为波形区。最左侧为波形编辑查看工具栏。单击信号区CLK信号,在工具栏中单击时钟设置按钮当所有输入节点的激励波形设置完毕后,保存激励波形文件。

,打开时钟设置对

话框,接受默认设置,单击OK按钮,则输入信号CLK的激励波形设置完毕,参见图 1.6。

..

联系合同范文客服:xxxxx#qq.com(#替换为@)