EDA流水灯设计报告

发布时间 : 星期三 文章EDA流水灯设计报告更新完毕开始阅读

EDA流水灯设计报告

姓名:余帅

学号:2011128076 专业:电子信息工程 年级:11电工

一.实验目的

1掌握EDA编程的基本步骤 2学会用EDA编写简单的程序 3熟悉EDA实验箱的使用方法

二.实验程序设计 流水灯的源程序:

module ysLED(input clk, input reset,input[1:0] mod, output reg[15:0] led,input ting);//定义输入输出); reg [31:0]counter; //计数器 always @(posedge clk or negedge reset) begin if(!reset) begin counter <= 0;//归零 end else if(counter == 20) begin counter <= 0; end else counter <= counter + 1;//循环计数 end always @(posedge clk) begin if(!reset) begin led <= 16'b0000000000000001;//置初值 end else if(ting==1'b1) begin if(counter==20) begin case(mod) 2'b00:led<={led[0],led[15:1]}; 2'b01:led<={led[14:0],led[15]}; 2'b10:begin led=led|16'b0000000110000000; led={led[14:8],1'b1,1'b1,led[7:1]}; end 2'b11:begin led=led|16'b1000000000000001;

led={led[8],led[15:9],led[6:1],led[0],led[7]}; end default:led<=16'b1111111111111111; endcase //实现循环移位。。 end end end endmodule

三.实验步骤

第一步:在E盘下新建一个名为流水灯的文件夹; 第二步:新建一个名为流水灯的工程; 第三步:设计程序;

在File中选择New···新建VHDL源程序文件led.v,输入程序代码并保存,保存在上面新建的文件夹中。然后在File中选择Creat Update中的Creat Symbol,进行编译,若在编译过程中发现错误,则找出并更正,直至编译成功。 (3)创建模块:在File中选择New···创建BlockDiagram模块,左键单击空白界面,出现框后,在Libraries中Project,里面为由led.v生成名为led.bsf的模块符号,选择模块放入界面后,左键单击模块,选择Generate Pins For Symbol Ports,就出现了输入和输出引脚,双击各管脚符号,进行管脚命名,与输出与输入口一致既可。最后以led命名保存该文件。 ( 4 )建立原理图文件:在File中选择New···创建BlockDiagram模块,执行File-Save as···命令,把它另存为文件名led的原理图文件,后缀名为.bdf选中后使该文件添加到刚建立的工程中去。

第四步:编译、运行、调试; 第五步:定义引脚、编译;

第六步:下载到实验箱观看运行结果;

联系合同范文客服:xxxxx#qq.com(#替换为@)