北航verilog上机实验报告

发布时间 : 星期日 文章北航verilog上机实验报告更新完毕开始阅读

Verilog上机实验报告

北 京 航 空 航 天 大 学

Verilog上机实验报告

1

Verilog上机实验报告

目录

实验一 简单的组合逻辑设计 ......................................................................................... 5 一、 二、 三、 四、 五、 六、 七、

实验目的 ................................................................................................................... 5 实验内容 ................................................................................................................... 5 对任务的理解 ............................................................................................................ 5 实现思路 ................................................................................................................... 5 代码 .......................................................................................................................... 6 仿真波形 ................................................................................................................... 7 总结及对波形的说明................................................................................................. 7

实验二 简单分频时序逻辑电路的设计 .......................................................................... 8 一、 二、 三、 四、 五、 六、 七、

实验目的 ................................................................................................................... 8 实验内容 ................................................................................................................... 8 对任务的理解 ............................................................................................................ 8 实现思路 ................................................................................................................... 8 代码 .......................................................................................................................... 8 仿真波形 ................................................................................................................... 9 总结及对波形的说明................................................................................................. 9

实验三 利用条件语句实现记数分频时序电路 ............................................................. 14 一、 二、 三、 四、 五、 六、 七、

实验目的 ................................................................................................................. 14 实验内容 ................................................................................................................. 14 对任务的理解 .......................................................................................................... 14 实现思路 ................................................................................................................. 14 代码 ........................................................................................................................ 14 仿真波形 ................................................................................................................. 16 总结及对波形的说明............................................................................................... 16

实验四 阻塞赋值与非阻塞赋值的区别 ........................................................................ 18 一、 二、 三、 四、 五、

实验目的 ................................................................................................................. 18 实验内容 ................................................................................................................. 18 对任务的理解 .......................................................................................................... 18 实现思路 ................................................................................................................. 18 代码 ........................................................................................................................ 18

2

Verilog上机实验报告

六、 仿真波形 ................................................................................................................. 20 七、 总结及对波形的说明............................................................................................... 20 实验五 用ALWAYS块实现比较复杂的组合逻辑电路 ................................................... 22 一、 二、 三、 四、 五、 六、 七、

实验目的 ................................................................................................................. 22 实验内容 ................................................................................................................. 22 对任务的理解 .......................................................................................................... 22 实现思路 ................................................................................................................. 22 代码 ........................................................................................................................ 22 仿真波形 ................................................................................................................. 25 总结及对波形的说明............................................................................................... 25

实验六 在VERILOG HDL中使用函数 ............................................................................ 26 一、 二、 三、 四、 五、 六、 七、

实验目的 ................................................................................................................. 26 实验内容 ................................................................................................................. 26 对任务的理解 .......................................................................................................... 26 实现思路 ................................................................................................................. 26 代码 ........................................................................................................................ 26 仿真波形 ................................................................................................................. 28 总结及对波形的说明............................................................................................... 28

实验七 在VERILOG HDL中使用任务(TASK) ............................................................. 30 一、 二、 三、 四、 五、 六、 七、

实验目的 ................................................................................................................. 30 实验内容 ................................................................................................................. 30 对任务的理解 .......................................................................................................... 30 实现思路 ................................................................................................................. 30 代码 ........................................................................................................................ 30 仿真波形 ................................................................................................................. 34 总结及对波形的说明............................................................................................... 34

实验八 利用有限状态机进行时序逻辑的设计 ............................................................. 35 一、 二、 三、 四、 五、 六、 七、

实验目的 ................................................................................................................. 35 实验内容 ................................................................................................................. 35 对任务的理解 .......................................................................................................... 35 实现思路 ................................................................................................................. 35 代码 ........................................................................................................................ 36 仿真波形 ................................................................................................................. 38 总结及对波形的说明............................................................................................... 38

3

Verilog上机实验报告

选做实验一 帧同步器设计 .............................................................................................. 39 一.实验内容 .................................................................................................................... 39 二.实现思路 .................................................................................................................... 41 三.代码 ............................................................................................................................ 41 四.仿真结果 .................................................................................................................... 48 选做实验四 数字去噪器设计 ........................................................................................... 49 一.实验内容 .................................................................................................................... 49 二.实现思路 .................................................................................................................... 50 三.代码 ............................................................................................................................ 50 四.仿真结果 .................................................................................................................... 52

4

联系合同范文客服:xxxxx#qq.com(#替换为@)