有限拍无纹波的设计

发布时间 : 星期二 文章有限拍无纹波的设计更新完毕开始阅读

2.3.5 DAC0832的单极性输出

DAC0832以单缓冲方式与8086CPU相连接的电路如图2-53所示。由于DAC0832内部有8位数据输入寄存器,可以锁存CPU输出的数据,因此数据总线直接连接到DAC0832的DI7~DI0上。按单缓冲方式工作ILE接+5V,/WR2接

图2-53 DAC0832与CPU的连接

START:MOV DX, 300H MOV AL, 7FH OUT DX, AL HLT 2.3.6 DAC0832的双极性输出

上述方法只能实现单级性输出,即VOUT只可能或者为正或者为负。要实现VOUT可正可负的双性输出,须在编码和电路方面作些更改,下面叙述三种方法,不同的方法采用不用的编码。 1)编码

编码是用一组规则来表示信息的方法。在双极性A/D和D/A转换中,可以采用不同的编码。常用的编码有三种,即符号—数值码、2的补码和偏移二进制码。表8.3.1列出了四位二进制数的这三种编码。 (1)符号—数值码

在这种编码中最高位是符号位,对于正基准,0表示正,1表示负(以下只用正基准)。其余三位表示数值的大小,与原码相同。 (2)2的补码

这是人们很熟悉的一种编码。其最高位是符号位,0表示正,1表示负,其余三位表示数值。若数不为负,与原码表示相同。若数小于0,则符号位不动,其余各位取反,然后在最低位加1。 (3)偏移二进制码

只要将2的补码的符号位取反,就得到偏移二进制码。

图2-54 DAC双极性输出

A1 和 A2 为运算放大器,A点为虚地,故可得:

VVOUT1??B?REFI1?I2?I3?0

256

VVVI1?REFI2?OUT2I3?OUT1

2R2RR

解上述方程可得双极性输出表达式:

?B?V ??VOUT2?(B?28?1)?REFV?VREF?1??OUT2?28-1? 28?1或 ??图中运放 A2 的作用是将运放 A1 的单向输出变为双向输出。当输入数字量小于 80 H即128时,输出模拟电压为负;当输入数字量大于 80 H即128时,输出模拟电压为正。其它n位D/A转换器的输出电路与DAC0832 相同,计算表达式中只要把 28-1改为2n-1即可

本章介绍了模拟量输出通道的结构组成,讨论了其核心部件—D/A转换器的工作原理、功能特性,重点分析了8位D/A转换器DAC0832与12位D/A转换器DAC1210的原理组成及其与PC总线的接口电路,以及适用于现场各种驱动装置的电压、电流与自动/手动控制输出电路,并说明输入输出模板的通用性及D/A转换模板的结构框图。

D/A转换器接口及接口输出驱动

2.4.1 D/A转换器接口

为使CPU能向D/A转换器传送数据,必须在两者之间设置接口电路。接口电路的功能是接收CPU数据线的数据、接口地址译码、产生片选信号或写信号。如果D/A芯片内部无输入寄存器,则要外加寄存器。

8位D/A转换器DAC0832与8位CPU的接口电路采用单级输入工作方式,使8位DAC寄存器总是处于接通状态。

D/A转换器位数的选择取决于系统输出精度,通常要比执行机构精度要求的最低分辨率高一位;另外还与使用对象有关,一般工业控制用8~12位,实验室用14~16位。

2.4.2 D/A转换器接口输出驱动

D/A转换器输出电路部分分为电流输出和电压输出两种。DAC0832的输出电流经运算放大器A1~A2变换成输出电压VO,再经光电耦合器 T1~T2变换成输出电流IL。通过调

节调零点电位器W1和调量程电位器W2,为外接负载RL提供0mA~10mA DC电流或4mA~20mA DC电流。光电耦合器T1和T2还具有输出隔离作用。

D/A转换器输出一般都通过功率放大器驱动执行机构。设执行机构的最大输入值为Umax,灵敏度为Umin,因此,D/A转换器的位数

Umax?? n?log1?Umin?????2?????即D/A转换器通道的设计要有通用性,主要体现在符合总线标准、选接口地址和输出方式。用于工业PC的输入输出模板应符合ISA和PCI总线标准。D/A转换器的接口

【75】

地址由基址和片址组成,其中基址由用户选择【1】。D/A转换器的输出分为电流输出

和电压输出。

模拟量输出通道之8路8位D/A转换实例设计

8位DAC0832介绍 1)电器指标

(a)电源电压 : 17V

(b)除输入端的任意端电压 : VCC~GND (c)控制输入端电压 : ~+15V

(d)工作温度 : -40~85℃ ( 民品) -55~125℃ (军品) (e)储存温度 : -65~150 ℃ 2)D/A转换器DAC0832的结构特点

DAC0832是一种8位的D/A转换器芯片,有两路差动电流信号输出,其数字量输入端具有双重缓冲功能,可由用户按双缓冲、单缓冲及直通方式进行线路连接,实现数字量的输入控制,特别是用于要求几个模拟量同时输出的场合,与微处理器的接口非常方便。

DAC0832的规格与参数 DAC0832的规格与参数如下:

①分辨率为8位; ②转换时间约1us;

③输入电平符合TTL电平标准;

④功耗为20mW。

图2-5 8路D/A转换模板的结构组成框图

图2-5给出了8路8位D/A转换模板的结构组成框图,它是按照总线接口逻辑、I/O功能逻辑和I/O电气接口等三部分布局电子元器件的。图中,总线接口逻辑部分主要由数据缓冲与地址译码电路组成,完成8路通道的分别选通与数据传送;I/O功能逻辑部分由8片DAC0832组成,完成数模转换;而I/O电气接口部分由运放与V/I变换电路组成,实现电压或电流信号的输出。

参 考 文 献

[1] 王锦标编. 《计算机控制系统》. 清华大学出版社.2004. [2] 何克忠编.《计算机控制系统》. 清华大学出版社.1998.

[3] 谢剑英、贾青编.《微型计算机控制技术》.国防工业出版社.2001. [4] 张玉明编.《计算机控制系统分析与设计》.电力出版社.2000. [5] 孔峰编. 《微型计算机控制技术》.重庆大学出

联系合同范文客服:xxxxx#qq.com(#替换为@)