FPGA的LVDS介绍和xilinx原语的使用方法中文说?- 百度文库 ϵͷ

ʱ : һ FPGA的LVDS介绍和xilinx原语的使用方法中文说?- 百度文库ϿʼĶ

Ҫdiv2_diff.schйܽԼdiv2_diff.ucfԼļ£ NET \ LOC = \ | IOSTANDARD = LVDS_25 ; NET \ LOC = \ | IOSTANDARD = LVDS_25 ;

NET \ LOC = \ | IOSTANDARD = LVCMOS33 ; NET \ LOC = \ | IOSTANDARD = LVCMOS33 ;

Ҫע⣺

clk_nclk_pҪڲֹܽŶϣ clk_nC9IO_L14P clk_pD9IO_L14N

߼ƽ׼ΪLVDS_25Spartan-3E֧IJ߼ƽ׼ ? LVDS

? Bus LVDS

? mini-LVDS ? RSDS

? Differential HSTL (1.8V, Types I and III) ? Differential SSTL (2.5V and 1.8V, Type I) ? 2.5V LVPECL inputs

ۺϡ롢ӳͲֺ߲вַ߲棬ԿʱclkѾΪʱˡ

div2_diff.schHDLļView HDL Functional ModelԿʵschIBUFGDSԭ

ڲУΪôʹۺϳĴ£

ERROR:Place:332 - This design contains an LVDS IO pair. The pair of IOs must be placed in a specific relative structure.

The two IOs can not be placed in this specific structure. The reason for this issue:

All of the logic associated with this structure is locked and the relative placement of the logic violates the

structure. The problem was found between the relative placement of IBUF clk_p at site PAD27 and IBUF clk_n at site

IPAD28. The following components are part of this structure: IBUF clk_p IBUF clk_n

Ϊֹܽclk_pclk_nûԼоƬIJֶԹܽϡҪ޸.ucfļоƬĸܽDzֶԣԲοӦоƬ

DatasheetXC3S500E-FG320(Spartan-3E fpga)92I/Oֹܽź12ֹܽš

ERROR:Pack:946 - The I/O component \has an illegal IOSTANDARD value.

Components of type DIFFMI do not support IOSTANDARD LVCMOS33. Please correct

the IOSTANDARD property value.

Ϊֹܽõ߼ƽ׼ԣóLVCMOS33ӦΪоƬֵ֧LVDS߼ƽ׼

οϣ

1LVDSFPGAĸͨѶӦоȺ http://www.eccn.com/xsj07/xsj080231.asp 2LVDSԭӦü

http://www.ent.eetchina.com/ART_8800472639_2700004_TA_5d4d019d.HTM

3) Ұ뵼ġLVDSûֲᡷ

http://www.ent.eetchina.com/ART_8800562170_2700004_TA_552cca6f.HTM

Xilinx FPGA ʹLVDS

http://www.61eda.com/Services/help/Xilinx/200803/1225.html

ʹHDL flowschematic flowֻҪIBUFDS,OBUFDS֮IJֻͿʹLVDSˡ

֮ҪλPinλãʹPACEIO

StandardѡLVDS33LVDS25ѡDCIİ汾˿ʱע⿴DatasheetPinַP/NPҲҪӦbufferеPNҲҪӦNעͬһbankֻһѹ׼

FPGA Editor۲첼ֲߺͻ֣ᷢFPGA

EditorûIBUFDSComponentBufferDzIOBģPinͼУԿһBufferIBUFDSá