硬件描述语言及器件实验指导实验指导书最终版 联系客服

发布时间 : 星期五 文章硬件描述语言及器件实验指导实验指导书最终版更新完毕开始阅读

六、实验报告要求

1、整理实验结果,填写实验报告。 2、小结实验心得体会。

第3章 实验三用硬件描述语言的方法设计一个D触发器

一、实验目的

1、掌握同步复位和异步复位的实现方法。

2、掌握用硬件描述语言表示上升沿和下降沿的方法。 3、掌握用硬件描述语言设计D触发器的方法。 二、实验器材 1.台式计算机1台。

2.可编程逻辑逻辑器件实验软件1套。 3.下载电缆一套。 4.示波器一台。 三、实验说明

1.台式计算机用于向可编程逻辑逻辑器件实验软件提供编程、仿真、下载的平台,供用户使用。

2.可编程逻辑逻辑器件实验软件向原理图的设计提供平台,并将调试好的原理图下载到可编程逻辑逻辑器件中。

3.下载电缆是可编程逻辑器件软件和可编程逻辑逻辑器件之间的接口电缆,为了便于区别,用不同颜色导线区分下载电缆的电源、地和信号,一般用红色导线接电源,用黑色导线接地。

4.示波器用于观察可编程逻辑器件执行程序时输出信号的变化。 四、实验内容与要求

用硬件描述语言的方法设计一个D触发器,要求:

(1)实现异步复位/置位、上升沿触发的D触发器,并进行仿真; (2)实现同步复位/置位、下降沿触发的D触发器,并进行仿真; 五、实验步骤

1.打开MAX+PLUS软件,点击“file”中“new”建立“textedtiorfile”文档并输入该实验的程序。

2.选择菜单“File”→“SaveAs”,选择为自己建立的工程文件夹,将已编程好的程序保存(注意后缀是.vhd)在此文件夹内。注意:文件夹名不能用中文,且不可带空格。

3.在“project”中设置“setprojecttocurrentfile”把文档设置在工程下,否则会在时出现错误。

4.选择“Assign”菜单中“Device”项,弹出Device对话框,在Device对话框中选择实验箱上的芯片型号。注意如果芯片型号选择错误,后面的过程一定会出现错误。

5.选择“max+plus”菜单中“complier”对实验程序进行编译,检查程序是否有错误。出现warning时有时可以忽略不计,但出现错误一定要及时修改直至无错误出现。

6.选择“max+plus”菜单中“waveformeditor”,将设置输入、输出管脚的初值和其周期,观察结果是否符合实验要求,如不符合要修改程序来满足实验要求。 7.在“project”中设置“setprojecttocurrentfile”把文档设置在程序编程所在的工程下,否则会在时出现错误。

8.选择“MAX+PLUS”中“Floorplaneditor”,设置输入、输出管脚在芯片I/O上。

9.选择菜单MAX+plus中“Programmer”,同时在Options菜单上选中HardwareSetup,在HardwareType中,选择ByteBlaster,在ParallelPort中,选择LPT1,然后按OK,此时硬件连接完毕。观察实验箱结果是否符合实验要求,并记录实验结果。

六、实验报告要求

1、整理实验结果,填写实验报告。 2、小结实验心得体会。