AMBA 接口文档 - 图文 联系客服

发布时间 : 星期五 文章AMBA 接口文档 - 图文更新完毕开始阅读

AMBA接口文档

Last updated: 7/10/2013 8:44 AM

图 4.29 AHB 仲裁器接口框图

图 4.30 AHB 仲裁器复位时序参数

Page 45 of 62

AMBA接口文档

Last updated: 7/10/2013 8:44 AM

Page 46 of 62

4.31 AHB 仲裁器传输时序参数

AMBA接口文档

Last updated: 7/10/2013 8:44 AM

Page 47 of 62

图 4.32 AHB 仲裁器分块时序参数

4.14 AHB译码器

在AMBA 系统中译码器被用来执行集中的地址译码功能,通过使它们独立于系统的存储器映射,可以提高外设的移植性。地址译码器用于为总线上的每个slave提供选择信号HSELx。选择信号是通过组合逻辑对地址码译码产生的,规范建议避免复杂的译码逻辑以保证高速操作。

只在当前的数据传输完成后(HREADY为高),slave才会采样地址和控制信号以及HSELx。在一定条件下可能出现这样的情况:产生HSELx信号而HREADY为低,在完成当前传输后slave会改变。

每个slave最小的地址空间为1kB,所有的master的burst传输的上限也是1kB,如此设计保证了不会出现地址越界问题。当一个设计不会用到所有的地址空间时,可能出现

AMBA接口文档

Last updated: 7/10/2013 8:44 AM

Page 48 of 62

访问到一个不存在的地址的情况,这就需要增加一个附加的默认slave来为上面的情况提供一个响应。当SEQ或NONSEQ传输访问到一个不存在的地址,默认slave应该提供ERROR响应;当IDLE或BUSY传输访问到一个不存在的地址,默认slave会提供OKAY响应。地址译码器会带有实现默认slave的功能。图 4.33表示了一个AHB译码器;图4.34 Slave选择信号框图;图 4.35给出了一个AHB译码器的时序参数。

图 4.33 AHB 译码器接口框图

图4.34 Slave选择信号