EDA实验指导书 联系客服

发布时间 : 星期一 文章EDA实验指导书更新完毕开始阅读

(6)器件的下载与配置

1.启动MAX+PLUSⅡ\PROGRAMMER菜单,出现如图2.1.8所示对话框。 2.选择JTAG\MULTI—DEVICE JIAG CHAIN菜单项。

3.启动JTAG\MULTI—DEVICE JTAG CHAIN SETUP..菜单项。 4.点击SELECT PROGRAMMING FILE..按扭,选择要下载的.pof文件(对于MAx7000S系列),然后按ADD加到文件列表中。

5.如果不能正确下载,可点击DETECT JTAG CHAIN INFO按扭进行测试,查找原因。最后按OK退出。

6.这时回到图2.1.8的状态,按CONFIGURE按扭完成下载。

图2.1.8

附:用硬件描述语言完成编译器的设计 (1) 生成设计项目文件。

(2) 启动FILE/NEW菜单命令。如图2.1.9

13

图2.1.9 3) 选择TEXT EDITOR FILE,点击OK。 4) 键入程序如下: 1ibrary ieee;

use ieee.std-logic-1164.all; entity eda i s port(

a,b,c:in std-logic;

d:out std-logic-vector(7 downto 0) ) ;

end eda;

architecture behaviour of eda is begin

case (c,b ,a) is

when “000”=>d<=“0000000l”; when “00l”=>d<=“00000010”; when “0l0”=>d<=“00000100”; when “011”=>d<=“0000l000”; when “l00”=>d<=“000l0000”; when “l0l”=>d<=“00l00000”; when “l10”=>d<=“01000000”; when others=>d<=“10000000”;

((

14

end case; end behaviour;

(5)存成.VHD文件,然后进行编译即可。 其他都与原理图输入相

实验六 英语字母显示电路

一、实验目的

l、实现十六进制计数显示。 2、实现常见英文字母显示。

实验目的数码管除了可以显示0-9的阿拉伯数字外,还可以显示一些英文字母。数码管由7段显示输出,利用7位的组合输出,就可以形成26个英文字母的相对应显示。表2—l显示常见的字母与7段显示关系。

段 字母 A B C D E F G H P L 1 0 1 1 1 1 0 0 1 0 1 0 0 1 0 0 1 1 1 0 1 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 0 A B C D E F G 表2—l常见的字母与7段显示关系 二、实验内容

l、编写一个简单的0—F轮流显示的十六进制计数器电路。 2、编写一个显示上述字母的轮换显示电路。

15

3、通过仿真或观察波形验证设计电路的正确性。 4、锁定引脚并下载验证结果。

三.设计提示

字母轮换显示电路可以采用状态图的方式设计,对于每一个时钟脉冲,将改变一种状态。

四.实验报告要求

l、 叙述电路的工作原理。

2、写出设计心得体会

实验九

异步计数器

一、实验目的

l、掌握异步计数器的工作原理; 2、用VHDL语言设计异步计数器;

3、用结构及描述来设计异步计数器及和行为描述相比较。

二、实验原理

异步计数器的工作原理如下图,通常由于采用异步时钟,工作延时比较大。

dff1Q1dff2DCLKQQQ2dff3DCLKQQQ3dff4DCLKQQQ4DQQCLKCLKRRRRRESET

三、实验内容

l、用VHDL语言设计四位异步计数器 2、通过仿真或观察波形图验证设计的正确性

16