EDA实验指导书 联系客服

发布时间 : 星期一 文章EDA实验指导书更新完毕开始阅读

(18)下载板接口

两个下载板接口位于主板的左边和右边,可以同时放两块下载板。

四、 主要实验项目

本实验系统由于采用模块化设计,各模块之间既相互独立,又可相互

组合,完成各种类型实验。其中包括验证性基础实验,综合性、设计性、创新性实验以及研究开发性、创新性、及全国电子大赛赛前培训实验等。以下列举了本实验系统能做的部分EDA技术课程实验项目。 EDA技术课程实验

实验一 3-8译码逻辑设计(图形法) 实验六 英语字母显示电路 实验九 异步计数器的设计 实验十三 四位移位寄存器的设计

实验十四 设计一个8位串行数字密码锁控制电路

第二章 实验

实验一 3—8译码逻辑设计(图形法)

一、实验目的

l、学习并掌握MAX+PLUSⅡ10.0 Altera CPLD软件开发平台。 2、通过一个简单的3—8译码器的设计,掌握图形编程的使用方法。 3、掌握组合逻辑电路的静态测试方法。

二.实验步骤

(1)进入windows操作系统,打开MAX+PLUSⅡ10.0。

1、启动File/Project Name菜单,输入设计项目的名字。点Assign/Device菜单,选择器件(选用MAX7000S系列的EPM7218SLC84-6) 。见图2.1.1。 2、启动菜单File/New,选择Graphic Editor File,打开原理图编辑器,进行原理图设计输入。

5

图2.1.1

(2)设计的输入

1、编辑环境下放置一个器件

① 在原理图的空白处双击鼠标左键,出现图2.1.2。 ② 在光标处输入组件名称或用鼠标点取组件,OK即可。 ③ 如果安放相同组件,只要按住Ctrl键,同时用鼠标拖动该组件 ④ 图2.1.3为组件安放结果.

6

图2.1.2

7

图2.1.3 2、在器件的管脚上添加连线

在元件引脚附近,鼠标光标自动由箭头变为十字。按住鼠标左键拖动,即可画出连线如图2.1.4。

8