基于FPGA的多功能波形发生器设计课程设计 联系客服

发布时间 : 星期六 文章基于FPGA的多功能波形发生器设计课程设计更新完毕开始阅读

在本设计中,采用QuartusII软件仿真,所以可以通过波形文件直观的反映出输出的数字量的变化情况,以达到波形输出的仿真。

正弦波:通过循环不断地从RAM中依次读取正弦波一个周期在时域上64个采样点的波形数据送入波形DAC,从而产生正弦波。正弦波的频率取决于读取数据的速度。

任意波:首先通过键盘把任意波形波形数据存储在存储器中。然后循环不断地从存储器2中依次读取任意波一个周期在时域上64个采样点的波形数据送入波形DAC,从而产生任意波。任意波的频率取决于读取数据的速度。

三角波:三角波波形是对称的,每边呈线形变化,所以可以根据地址数据做简单运算,就可以得到三角波

锯齿波:产生单调性锯齿波,因此把地址数据进行左移2位,结果送波形DAC就可。

方波A:方波A产生也是由64个采样点组成, 64个采样点的数据只有“低电平”和“高电平” 2种状态。更改“低电平”和“高电平”出现的比例,可以达到调节占空比的目的。

波形DAC:根据输入的波形数据(即FPGA输出的数据),产生相应的模拟波形的输出。调幅DAC:根据输入的幅度调节数据(即FPGA输出的数据),用来调节波形DAC的基准电压,到达输出波形幅度调节的目的。

波形指示:每种波形具有一个选择开关,而每个选择开关与FPGA接口的一端都并有一个发光二极管,发光二极管起指示作用。

频率显示:频率可以直接用4位BCD拨盘开关上的数字和档位开关位置一起来表示频率。

幅度显示:用4个数码管来显示输出波形的幅度。

2.3设计流程

首先启动Quartus II软件如图2所示:

图2 Quartus II启动界面

接着利用向导,建立一个新的工程。在File菜单中选择New Project Wizard选项启动项目向导。如图2所示,分别指定创建工程的路径,工程名和顶层文件名。工程名和顶层文件可以一致也可以不同。一个工程中可以有多个文件,但只能有一个顶层文件。这里我将工程名取为:keshe。如图3所示。

图3创建工程界面

在图2所示界面点击NEXT按钮出现对话框如图3所示直接点next按钮然后在出现的界面中选择芯片出现如图4所示界面。点击File-new按键,选择VHDL语言,如图5所示。即进入VHDL语言编辑区如图7所示。

图4创建工程的结束界面