cadence安装、原理库建库和Concept - HDL使用手册 联系客服

发布时间 : 星期日 文章cadence安装、原理库建库和Concept - HDL使用手册更新完毕开始阅读

Available Library:列出所有可选择的库。包括cadence自带库等。 Project Library:个人工程中将用到的所有库。如myproject_lib 点击 下一步,并添入你的设计名如:mydesign

点击 下一步

点击Finish完成。

3. 设计开始时,应该首先将机器上的库与共享的原理图库同步。 将共享库加入到自己的工程库中,点击setup,弹出右图。

点击 Edit 编辑cds.lib文件。添入以下语句:

define 共享的原理图库名 绝对路径

如:define libcdma1 d:\\libcdma1 则库libcdma1被加入Availiable Library 项内 ,再选定点击Add,将其加入到右边的Project中。

4.Concept HDL 原理图的设计

点击Design Entry 进入 Concept- HDL 。

Concept- HDL 是Cadence 的电路原理图设计输入环境

在concept中电路原理图的设计流程

(1)Adding parts 放置元器件。

使用Component---add命令在原理图中加元器件。

注意:为避免调出的元器件连线错位,栅格设置要注意,Tools---options的Grid选项。

首先应放入标准图框,再在图框内添加所需器件。 其中介绍两个命令:

Version ---- 改变元器件符号版本

Section ---- 指定逻辑元器件在物理封装中的位置。并显示pin_number. 如下图: