cadence安装、原理库建库和Concept - HDL使用手册 联系客服

发布时间 : 星期二 文章cadence安装、原理库建库和Concept - HDL使用手册更新完毕开始阅读

2、 我们以74HC374为例介绍如何创建原理用到的元件.

在Allegro PCB Librarian界面,我们可以由Part Developer或Library Explorer进入开始创建元件.现在我们从Library Explorer进入.

进入Part Developer 界面。

设置Part developer:

tool-----setup或点击setup快捷菜单,取消Use Pin Name as Pin Text选项,其余选项为默认设置,点击OK退出。

建立原理图封装。

Pins 中的Name填引脚号,(实际的引脚名在Text中填写)。